IMPLEMENTASI SISTEM PENGENDALI MOTOR SERVO PADA FPGA ALTERA FLEX-10K MENGGUNAKAN DESKRIPSI VHDL

Agfianto Eko Putra, Nukman Taufik

Abstract


Telah dilakukan perancangan, dan implementasi sistem pengendali motor servo dalam FPGA FLEX-10Kmenggunakan VHDL. Sistem terbagi atas 2 macam, yang masing-masing dibatasi dengan ruang putar servo 0-180 derajat.Sistem pertama dirancang secara keseluruhan menggunakan deskripsi VHDL dengan pengontrol 2 tombol-tekan danpenampil sudutnya 3x7-segment. Sistem kedua merupakan kombinasi antara modul driver yang ditulis dalam deskripsiVHDL, dan bagian antarmuka yang dituliskan dalam Delphi 7.0. Sumber daya yang dibutuhkan pada sistem pengendali yangpertama adalah 277 elemen logika dan 63 flip-flop dengan waktu rambat sebesar 12.5 nd. Sedangkan yang keduamembutuhkan 140 elemen logika, dan 33 flip-flop dengan waktu rambatnya 12.4 nd.

Refbacks

  • There are currently no refbacks.